이거저거

    [verilog] modelsim - (vish-4014) No objects found matching '/Testbench/*'

    [verilog] modelsim - (vish-4014) No objects found matching '/Testbench/*'

    문제 임베디드 시스템 수업을 듣고있는데, verilog test bench 실습 중 다음과 같은 오류가 발생했다. 제대로 된 모습은 아래와 같아야 한다. test bench 하위에 And2.v에 대한 객체가 생성되지 않은 것 같다. 우선 오류가 발생한 과정은 다음과 같다. 두 파일을 모두 컴파일한 다음 테스트 벤치를 시뮬레이션을 시작한다. 그런 다음 웨이브 창으로 이동하여 테스트를 웨이브에 넣으려고 하면 오류가 발생한다. 해결 https://stackoverflow.com/questions/55158783/modelsim-error-no-objects-found-matching-test 이 사이트를 보고 참고해 해결하였다. 이 사이트의 답변에 따르면 원인은 최적화 때문이라고 한다. 생각해보니 opt라는 ..

    [Ubuntu]Pwngdb 설치

    pwngdb는 peda 플러그인을 베이스로 기존 gdb에서 기능을 확장시킨 디버거이다. peda 플러그인이 베이스로 동작하기 때문에 stack과 레지스터 정보 등을 한눈에 볼 수 있다. https://github.com/scwuaptx/Pwngdb GitHub - scwuaptx/Pwngdb: gdb for pwn gdb for pwn. Contribute to scwuaptx/Pwngdb development by creating an account on GitHub. github.com 설치 및 실행 cd ~/ git clone https://github.com/scwuaptx/Pwngdb.git cp ~/Pwngdb/.gdbinit ~/ gdb //실행 참고 https://go-madhat.gith..

    [Ubuntu] apt 오류 해결 - Could not get lock /var/lib/dpkg/lock-frontend

    [Ubuntu] apt 오류 해결 - Could not get lock /var/lib/dpkg/lock-frontend

    오류 내용 git을 설치하려 했을 때 생긴 오류이다. 구글링 해보니 대부분 다른 오류들은 아래와 같았다. 그래서 오류원인과 내가 해결한 방법, 아래의 해결방법을 정리해보았다. E: Could not get lock /var/lib/dpkg/lock-frontend - open (11: Resource temporarily unavailable) E: Unable to acquire the dpkg frontend lock (/var/lib/dpkg/lock-frontend), is another process using it? 오류 원인 다음과 같은 경우 오류가 발생할 수 있다고 한다. 'Synaptic Package Manager' 또는 'Software Updater'가 열려있을 때 일부 apt 명령..

    [VScode] 오류 해결 The Python path in your debug configuration is invalid.

    [VScode] 오류 해결 The Python path in your debug configuration is invalid.

    vscode로 파이썬 개발 환경을 구축하고 실행을 하려고 했더니 위와 같은 오류가 떴다. 해당 오류의 원인은 Debug를 하려는데 vscode에 파이썬 경로가 제대로 설정되어있지 않아서 일어나는 것이다. 문제 해결 방법 Command Palette를 실행한다.(단축키 Ctrl+Shift+P) Python: Select Interpreter 입력한다. 원하는 파이썬 버전을 선택한다.